Call Number (LC) | Title | Results |
---|---|---|
TK7872.V3 M519 1964a | Electronic universal vade-mecum / | 1 |
TK7872.V3 M52 | Vacuum-tube and semiconductor electronics. | 1 |
TK7872.V3 N34 |
IEEE conference record of ... Conference on Tube Techniques / Proceedings of the ... National Conference on Tube Techniques. IEEE conference record of ... Conference on Electron Device Techniques / |
3 |
TK7872.V3 O9 | Electron tubes at work / | 1 |
TK7872.V3 R5 | Basic vacuum tubes and their uses / | 1 |
TK7872.V3 R58 | Handbook of electron tube and vacuum techniques. | 1 |
TK7872.V3 R585 | Hochvakuum-Elektronenröhren / | 1 |
TK7872.V3 S6 | Vacuum tubes. | 1 |
TK7872.V3 V3 | Physics and chemistry of electronic technology. | 1 |
TK7872.V3 W52 1994 | Power vacuum tubes handbook / | 1 |
TK7872.V4 P4 | Varactor applications / | 1 |
TK7872.V54 | Power electronics applied to industrial systems and transport. | 1 |
TK7872.V54 A93 2013 | Voltage-to-frequency converters : CMOS design and implementation / | 1 |
TK7872.V54 L46 1997eb | Simplified design of voltage-frequency converters | 1 |
TK7872.V55 |
Power management techniques for integrated circuit design Power management techniques for integrated circuit design / |
2 |
TK7872.V55 L67 2011 | Voltage regulators for next generation microprocessors | 1 |
TK7872.54 | Npj flexible electronics | 1 |
TK7874 |
Principles of lithography / CMOS circuits manual / Electronic Design Automation for IC System Design Handbook of surface mount technology / Metrology, Inspection, and Process Control for Microlithography XXX : 22-25 February 2016, San Jose, California, United States / MOEMS and Miniaturized Systems XV : 15-17 February 2016, San Francisco, California, United States / Design-Process-Technology Co-optimization for Manufacturability X : 24-25 February 2016, San Jose, California, United States / Advances in Patterning Materials and Processes XXXIII : 22-25 February 2016, San Jose, California, United States / Nanodevices for integrated circuit design / Electronics for embedded systems / Integrated power management : a quick start guide / Feedback in analog circuits / MOEMS and miniaturized systems XI 24-25 January 2012, San Francisco, California, United States / Robust design of microelectronics assemblies against mechanical shock, temperature and moisture / Lifetime reliability-aware design of integrated circuits Integrated wide-bandwidth current sensing Understanding the Nanotechnology Revolution. Nanoscale VLSI devices, circuits and applications / Systolic arrays : papers presented at the first International Workshop on Systolic Arrays, Oxford, 2-4 July 1986 / CMOS analog IC design for 5G and beyond / Analog IC placement generation via neural networks from unlabeled data VLSI-SoC : new technology enabler 27th IFIP WG 10.5/IEEE International Conference on Very Large Scale Integration, VLSI-SoC 2019, Cusco, Peru, October 6-9, 2019, revised and extended selected papers / Future Trends in Microelectronics : frontiers and innovations / III-V Integrated Circuit Fabrication Technology The fourth terminal benefits of body-biasing techniques for FDSOI circuits and systems / Encyklopedia elementów elektronicznych. Make. Sputtering materials for VLSI and thin film devices / Compact Models for Integrated Circuit Design. Advances in VLSI and embedded systems select proceedings of AVES 2019 / Guidebook for Managing Silicon Chip Reliability / Novel advances in microsystems technologies and their applications Hybrid assemblies and multichip modules / Hybrid circuit design and manufacture / Design for testability, debug and reliability next generation measures using formal techniques / High Performance Devices - Proceedings Of The 2004 Ieee Lester Eastman Conference. Metrology, inspection, and process control for microlithography XX 20-23 February 2006, San Jose, California, USA / Advanced simulation and test methodologies for VLSI design / Analog Circuit Design for Communication SOC. Understanding Microelectronics : a Top-Down Approach. Microelectronic Materials / Integrated circuits, photodiodes and organic field effect transistors / Constraint Solving over Multi-valued Logics : Application to Digital Circuits. Low Power Circuit Design Using Advanced CMOS Technology / Metrology, inspection, and process control for microlithography XIX 28 February-3 March, 2005, San Jose, California, USA / Random testing of digital circuits : theory and applications / VLSI electronics. microstructure science / Electron-beam technology in microelectronic fabrication Wideband Circuit Design / Fundamentals of microelectromechanical systems (MEMS) / Dual model logic a new paradigm for digital IC design / Physical assurance : for electronic devices and systems / Analog circuit simulators for integrated circuit designers : numerical recipes in Python / Split manufacturing of integrated circuits for hardware security and trust methods, attacks and defenses / Micro-electronics and telecommunication engineering : proceedings of 4th ICMETE 2020 / Advances in VLSI, signal processing, power electronics, IoT, communication and embedded systems : select proceedings of VSPICE 2020 / Micro and nanoelectronics devices, circuits and systems : select proceedings of MNDCS 2021 / Recent trends in electronics and communication select proceedings of VCAS 2020 / Emerging lithographic technologies XII 26-28 February 2008, San Jose, California, USA / Advances in resist materials and processing technology XXV 25-27 February 2008, San Jose, California, USA / Metrology, inspection, and process control for microlithography XXII 25-28 February 2008, San Jose, California, USA / Advances in VLSI, communication, and signal processing : select proceedings of VCAS 2021 / IMAPS Poland 2016. IMAPS (2016) IMAPS-CMPT Poland 2015 / CAD of circuits and integrated systems / Extending Moore's Law through advanced semiconductor design and processing techniques / Current Sources and Voltage References : a Design Reference for Electronics Engineers. VLSI physical design from graph partitioning to timing closure / Hybrid ADCs, smart sensors for the IoT, and sub-1V & advanced node analog circuit design : advances in analog circuit design 2017 / Proceedings of 2nd International Conference on Micro-Electronics, Electromagnetics and Telecommunications : ICMEET 2016 / Advanced logic synthesis / Introducing technology computer-aided design (TCAD) : fundamentals, simulations and applications / A one-semester course in modeling of VLSI interconnections / Future trends in microelectronics. Microelectronics, electromagnetics and telecommunications : proceedings of ICMEET 2017 / Handbook of 3D integration technology and applications of 3D integrated circuits / Advances in micro-electronics, embedded systems and IoT : proceedings of sixth International Conference on Microelectronics, Electromagnetics and Telecommunications (ICMEET 2021). Comparators Design Automation for Field-coupled Nanotechnologies Art and science of microelectronic circuit design Behavioral synthesis for hardware security Practical ESD protection design / Fundamentals of electromigration-aware integrated circuit design / Proceedings of the International Conference on Microelectronics, Computing & Communication Systems : MCCS 2015 / Pathological elements in analog circuit design / Physical design and mask synthesis for directed self-assembly lithography / MicroSystem based on SiP technology / SiP-system in package design and simulation : Mentor EE Flow Advanced Design Guide / Essential knowledge for transistor-level LSI circuit design / CMOS test and evaluation : a physical perspective / Microelectronics, communication systems, machine learning and internet of things : select proceedings of MCMI 2020 / Digital design from the VLSI perspective : concepts for VLSI beginners / Advances in VLSI and embedded systems select proceedings of AVES 2021 / Software defined chips. Graphs in VLSI Micro-relay technology for energy-efficient integrated circuits / Fractional linear systems and electrical circuits / AIDA-CMK : multi-algorithm optimization kernel applied to analog IC sizing / Circuit design : anticipate, analyze, exploit variations : statistical methods and optimization / Analog Electronics : Circuits, Systems and Signal Processing. Microelectronic systems 3 checkbook / Microelectronic systems N2 checkbook / High-performance AD and DA converters, IC design in scaled technologies, and time-domain signal processing : Advances in Analog Circuit Design 2014 / Microelectronic systems 1 checkbook / Circuit design for reliability / NANOELECTRONICS FOR NEXT-GENERATION INTEGRATED CIRCUITS. Low-power analog techniques, sensors for mobile devices, and energy efficient amplifiers : Advances in Analog Circuit Design 2018 / Proceeding of the Second International Conference on Microelectronics, Computing & Communication Systems (MCCS 2017) / The Forrest Mims engineer's notebook / Hardware Specification, Verification and Synthesis: Mathematical Aspects Mathematical Sciences Institute Workshop Cornell University, Ithaca, New York, USA July 5-7, 1989 Proceedings / IC Design Insights From Selected Presentations at CICC 2017. Heterogeneous integrations / Bits on chips / Microelectronics, electromagnetics and telecommunications : proceedings of the Fourth ICMEET 2018 / VLSI design and test for systems dependability / Materials and process characterization / Advances in resist materials and processing technology XXVI : 23-25 February 2009, San Jose, California, United States / Intelligent circuits and systems : proceedings of the 3rd International Conference on Intelligent Circuits and Systems (ICICS 2020), June 26-27, 2020, Punjab, India / Advances in resist technology and processing XXIII 20-22 February, 2006, San Jose, California, USA / Anglo-American microelectronics data, 1968-69. Anglo-American microelectronics data 1968-69. Micro and nanoelectronics devices, circuits and systems : select proceedings of MNDCS 2022 / Advances in communication, signal processing, VLSI, and embedded systems : select proceedings of VSPICE 2019 / Built-in fault-tolerant computing paradigm for resilient large-scale chip design : a self-test, self-diagnosis, and self-repair-based approach / Chiplet design and heterogeneous integration packaging / Micro-electronics and telecommunication engineering : proceedings of 6th ICMETE 2022 / Selected topics in intelligent chips with emerging devices, circuits and systems / Advanced tutorial on analog circuit design / Speeding-up radio-frequency integrated circuit sizing with neural networks / Microelectronics, Electromagnetics and Telecommunications proceedings of the fifth ICMEET 2019 / Biomedical electronics, noise shaping ADCs, and frequency references : Advances in Analog Circuit Design 2022 / Yield-aware analog IC design and optimization in nanometer-scale technologies Micro-electronics and telecommunication engineering Proceedings of 3rd ICMETE 2019 / International Conference on Micro- and Nano-Electronics, 2009 5-9 October 2009, Zvenigorod, Russian Federation / Using artificial neural networks for analog integrated circuit design automation BSIM-bulk MOSFET model for IC design digital, analog, RF and high-voltage / AI for big data-based engineering applications from security perspectives / Analog circuit design using current-mode technique / Advanced field-solver techniques for RC extraction of integrated circuits / Parallel sparse direct solver for integrated circuit simulation / Testing of interposer-based 2.5D integrated circuits / Analog integrated circuit design automation : placement, routing and parasitic extraction techniques / Ultra-low power integrated circuit design : circuits, systems, and applications / System-level techniques for analog performance enhancement / Electronic design automation of analog ICs combining gradient models with multi-objective evolutionary algorithms / Design for manufacturability with advanced lithography / 3D microelectronic packaging : from fundamentals to applications / The dark side of silicon : energy efficient computing in the dark silicon era / Computer design aids for VLSI circuits / Microelectronics : from fundamentals to applied design / Microelectronics, electromagnetics and telecommunications : Proceedings of ICMEET 2015 / Efficient sensor interfaces, advanced amplifiers and low power RF systems : Advances in Analog Circuit Design 2015 / Dielectric breakdown in gigascale electronics time dependent failure mechanisms / RF and microwave microelectronics packaging Analog circuit design : high-speed clock and data recovery, high-performance amplifiers, power management / Three-dimensional integrated circuit design / The Designer¿́¿s Guide to Verilog-AMS, June 2004 / Nanometer technology designs high-quality delay tests / Model Engineering in Mixed-Signal Circuit Design : a Guide to Generating Accurate Behavioral Models in VHDL-AMS / Microelectronic Interconnections and Assembly / Algorithms for VLSI Physical Design Automation / The Physics of Submicron Lithography / SOC design methodologies : IFIP TC10/WG10.5 Eleventh International Conference on Very Large Scale Integration of Systems-on-Chip (VLSI-SOC'01), December 3-5, 2001, Montpellier, France / Novel Silicon Based Technologies / Design for manufacturability through design-process integration II 28-29 February 2008, San Jose, California, USA / Brain-machine interface : circuits and systems / Silicon Optoelectronic Integrated Circuits / Analog and digital electronic circuits fundamentals, analysis, and applications / How transistor area shrank by 1 million fold Microelectromechanical systems : advanced materials and fabrication methods / Reliability prediction for microelectronics / Compact models for integrated circuit design : conventional transistors and beyond / Microsystem technologies sensors, actuators, systems integration. Microelectronics journal IEEE journal of the Electron Devices Society IEEE open journal of the Solid-State Circuits Society International journal of design, analysis and tools for integrated circuits and systems Integration the VLSI journal. |
209 |
TK7874 .84 | CHIPS 2020. | 1 |
TK7874-7874.9 |
Advanced Microsystems for Automotive Applications Yearbook 2002 / Optical Resonators : Fundamentals, Advanced Concepts and Applications / Advanced Electronic Circuits / Semiconductor Device Modelling / Current Trends in Hardware Verification and Automated Theorem Proving / Microelectronics and Microsystems : Emergent Design Techniques / Very Large Scale Integration (VLSI) : Fundamentals and Applications / Electromagnetic Devices for Motion Control and Signal Processing / Electronic Properties of Materials Nondestructive Evaluation of Materials by Infrared Thermography / Magnetic Bubble Technology / Fundamentals of Electronic Imaging Systems : Some Aspects of Image Processing / The Quintessential PIC Microcontroller / Laser/Optoelectronics in Medicine/Laser/Optoelektronik in der Medizin : Proceedings of the 7th International Congress/Vorträge des 7. Internationalen Kongresses Laser 85 Optoelektronik Mit/with 2nd International Nd: YAG Laser Conference / Environmental and Space Electromagnetics / Asynchronous Circuits / Product Integrity and Reliability in Design / Compound Semiconductor Device Modelling / High Sensitivity Magnetometers / Reliability of Electronic Components : a Practical Guide to Electronic Systems Manufacturing / Hierarchical Device Simulation : the Monte-Carlo Perspective / Advanced Microsystems for Automotive Applications 2000 / Scanning Microscopy : Symposium Proceedings / Simulation of Semiconductor Processes and Devices 2004 / Advanced Microsystems for Automotive Applications 98 / Picosecond Electronics and Optoelectronics : Proceedings of the Topical Meeting Lake Tahoe, Nevada, March 13-15, 1985 / Advanced Physical Models for Silicon Device Simulation / Functional Micro- and Nanosystems : Proceedings of the 4th caesarium, Bonn, June 16-18, 2003 / Cellular Neural Networks : Chaos, Complexity and VLSI Processing / Integrated Modeling of Chemical Mechanical Planarization for Sub-Micron IC Fabrication : From Particle Scale to Feature, Die and Wafer Scales / The Design of a Microprocessor / Guided-Wave Optoelectronics / Superconducting Quantum Electronics / Reversible Logic Synthesis : From Fundamentals to Quantum Computing / Lock-in Thermography : Basics and Use for Functional Diagnostics of Electronic Components / Silicon Microchannel Heat Sinks : Theories and Phenomena / Technology CAD Systems / Advanced Microsystems for Automotive Applications 2001 / Third Caltech Conference on Very Large Scale Integration / Quality and Reliability of Technical Systems : Theory - Practice - Management / The Monte Carlo Method for Semiconductor Device Simulation / ESD - The Scourge of Electronics / Technology of Integrated Circuits / Piezoelectric Transducers and Applications / Intrinsic Point Defects, Impurities, and Their Diffusion in Silicon / Switching Theory : Insight through Predicate Logic / 3-Dimensional Process Simulation / Analysis and simulation of heterostructure devices / Microwave Materials / POF - Polymer Optical Fibers for Data Communication / Micro System Technologies 90 : 1st International Conference on Micro Electro, Opto, Mechanic Systems and Components Berlin, 10-13 September 1990 / Modelling of Microfabrication Systems / Quality and Reliability of Technical Systems : Theory, Practice, Management / Nanoelectronics and Nanosystems : From Transistors to Molecular and Quantum Devices / High-Frequency Bipolar Transistors : Physics, Modeling, Applications / Multigrid Methods for Process Simulation / Simulation of Semiconductor Devices and Processes : Vol. 5 / Simulation of Semiconductor Processes and Devices 2001 : SISPAD 01 / Logic Synthesis of Asynchronous Controllers and Interfaces / Simulation of Semiconductor Devices and Processes : Vol. 6 / VLSI Technology : Fundamentals and Applications / Simulation of Semiconductor Processes and Devices 1998 : SISPAD 98 / VLSI Systems and Computations / Handbook of visual display technology / VLSI for Pattern Recognition and Image Processing / Aerospace Sensor Systems and Applications / Reliability of Optical Fibres and Components : Final Report of COST 246 / Thermoelectrics Basic Principles and New Materials Developments / Magnetic Bubble Technology |
70 |